Welcome![Sign In][Sign Up]
Location:
Search - pcm vhdl

Search list

[Parallel Porte047_pcmciatob

Description: 自制pcmcia并口vhdl代码,及制作所须其他资料.-homemade pcmcia parallel VHDL code and the production of other required information.
Platform: | Size: 3924992 | Author: 孙德黎 | Hits:

[Speech/Voice recognition/combinepcm_coding0.vhd

Description: PCM编码源码-PCM coding FOSS********************************************************
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-Verilogpcm(8)

Description: 语音编码的VHDL源码,已经调试通过.压缩文件中包括调试过程代码.-speech coding VHDL source code, debugging has been adopted. Compressed files to include debugging code.
Platform: | Size: 79872 | Author: zhangruqi | Hits:

[Mathimatics-Numerical algorithmsG711-A

Description: g711-pcm的音频编码VHDL源代码-g711-pcm audio coding VHDL source code
Platform: | Size: 12288 | Author: feng | Hits:

[Multimedia programcaibian

Description: PCM通信数据串码的形成,用FPGA产生的数据采集.-PCM communication data string to form code, using FPGA generated data collection.
Platform: | Size: 58368 | Author: 高静 | Hits:

[VHDL-FPGA-VerilogGetPcmSim

Description: PCM数据采集,CPLD部分。 写了很长时间了。 通过串口传送到PC。-PCM Data Acquisition, CPLD part. Wrote a very long time. Through the serial port to send to the PC.
Platform: | Size: 1041408 | Author: pancat | Hits:

[Multimedia programline_alaw

Description: 线性PCM到A律pcm的Verilog编码源程序-Linear PCM to the Verilog code pcm A law source
Platform: | Size: 1024 | Author: 李果霖 | Hits:

[VHDL-FPGA-Verilogalaw

Description: 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
Platform: | Size: 5120 | Author: wl | Hits:

[VHDL-FPGA-Verilogulaw

Description: 使用VHDL语言,实现通信脉冲编码调制(PCM)的u律压缩。-Using VHDL language, the realization of communication pulse code modulation (PCM) of u law compression.
Platform: | Size: 5120 | Author: wl | Hits:

[Multimedia programpcmin

Description: 该程序是关于pcm编码的,即脉冲调制技术,用来对输入的模拟信道进行编码和调制-The program is on the pcm coding, that is, pulse modulation techniques, used to input analog channel coding and modulation
Platform: | Size: 1024 | Author: 靓仔 | Hits:

[Embeded-SCM Developcode

Description: this gives information about PCM
Platform: | Size: 64512 | Author: shiva | Hits:

[Program docpcmtelemetrylvfpga

Description: this gives information PCM
Platform: | Size: 243712 | Author: shiva | Hits:

[Communication-Mobilepcm

Description: information about PCM
Platform: | Size: 3079168 | Author: shiva | Hits:

[Software Engineeringpcm

Description: 基于FPGA的PCM编码器与解码器的设计-about fpga and pcm
Platform: | Size: 15268864 | Author: 晓刚 | Hits:

[VHDL-FPGA-VerilogPCM

Description: 基于FPGA的PCM编码器与解码器的设计-about fpga and pcm
Platform: | Size: 121856 | Author: 晓刚 | Hits:

[Multimedia programPCM

Description: PCM采编器,帧长64字,字长为8位,地址分配如下: 帧同步码 0,1路 模拟通道 2-50路 数字通道 51-63路,串行输出数据,输出地址,模拟通道片选,数字通道片选-PCM editing device, frame length 64 characters, word length of 8-bit address as follows: frame synchronization yards 0,1 analog channels 2-50 channel digital channels 51-63 Lu, serial output data, output address, analog channel film election, the digital channel chip select
Platform: | Size: 4096 | Author: | Hits:

[Multimedia programPCM

Description: 采用13折线A率的PCM编码,逐次反馈型编码器。-A broken line 13 the rate of use of PCM encoding, successive feedback encoder.
Platform: | Size: 655360 | Author: | Hits:

[ELanguagepcm

Description: 利用VHDL语言和模块化设计实现PCM编译码的功能,整体工程和代码全有。-PCM encode and decode by VHDL in Quartus2.
Platform: | Size: 835584 | Author: nancy | Hits:

[VHDL-FPGA-VerilogpCM

Description: 讲述数据通信PCM码原理 很适合初学者 很好的 你一定要看-About the principles of data communication is very suitable for PCM code you have to look very good for beginners
Platform: | Size: 947200 | Author: qzl001 | Hits:

[VHDL-FPGA-VerilogPCM

Description: PCM信号的码同步提取;短脉冲滤除;VHDL语言-PCM code synchronization signal extraction short pulse filter VHDL language
Platform: | Size: 515072 | Author: Troy | Hits:
« 12 3 »

CodeBus www.codebus.net